V4.0.1 of the ARM CMSIS DSP libraries. Note that arm_bitreversal2.s, arm_cfft_f32.c and arm_rfft_fast_f32.c had to be removed. arm_bitreversal2.s will not assemble with the online tools. So, the fast f32 FFT functions are not yet available. All the other FFT functions are available.

Dependents:   MPU9150_Example fir_f32 fir_f32 MPU9150_nucleo_noni2cdev ... more

Committer:
emh203
Date:
Mon Jul 28 15:03:15 2014 +0000
Revision:
0:3d9c67d97d6f
1st working commit.   Had to remove arm_bitreversal2.s     arm_cfft_f32.c and arm_rfft_fast_f32.c.    The .s will not assemble.      For now I removed these functions so we could at least have a library for the other functions.

Who changed what in which revision?

UserRevisionLine numberNew contents of line
emh203 0:3d9c67d97d6f 1 /* ----------------------------------------------------------------------
emh203 0:3d9c67d97d6f 2 * Copyright (C) 2010-2014 ARM Limited. All rights reserved.
emh203 0:3d9c67d97d6f 3 *
emh203 0:3d9c67d97d6f 4 * $Date: 12. March 2014
emh203 0:3d9c67d97d6f 5 * $Revision: V1.4.3
emh203 0:3d9c67d97d6f 6 *
emh203 0:3d9c67d97d6f 7 * Project: CMSIS DSP Library
emh203 0:3d9c67d97d6f 8 * Title: arm_mat_mult_fast_q15.c
emh203 0:3d9c67d97d6f 9 *
emh203 0:3d9c67d97d6f 10 * Description: Q15 matrix multiplication (fast variant)
emh203 0:3d9c67d97d6f 11 *
emh203 0:3d9c67d97d6f 12 * Target Processor: Cortex-M4/Cortex-M3
emh203 0:3d9c67d97d6f 13 *
emh203 0:3d9c67d97d6f 14 * Redistribution and use in source and binary forms, with or without
emh203 0:3d9c67d97d6f 15 * modification, are permitted provided that the following conditions
emh203 0:3d9c67d97d6f 16 * are met:
emh203 0:3d9c67d97d6f 17 * - Redistributions of source code must retain the above copyright
emh203 0:3d9c67d97d6f 18 * notice, this list of conditions and the following disclaimer.
emh203 0:3d9c67d97d6f 19 * - Redistributions in binary form must reproduce the above copyright
emh203 0:3d9c67d97d6f 20 * notice, this list of conditions and the following disclaimer in
emh203 0:3d9c67d97d6f 21 * the documentation and/or other materials provided with the
emh203 0:3d9c67d97d6f 22 * distribution.
emh203 0:3d9c67d97d6f 23 * - Neither the name of ARM LIMITED nor the names of its contributors
emh203 0:3d9c67d97d6f 24 * may be used to endorse or promote products derived from this
emh203 0:3d9c67d97d6f 25 * software without specific prior written permission.
emh203 0:3d9c67d97d6f 26 *
emh203 0:3d9c67d97d6f 27 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
emh203 0:3d9c67d97d6f 28 * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
emh203 0:3d9c67d97d6f 29 * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
emh203 0:3d9c67d97d6f 30 * FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
emh203 0:3d9c67d97d6f 31 * COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
emh203 0:3d9c67d97d6f 32 * INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
emh203 0:3d9c67d97d6f 33 * BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
emh203 0:3d9c67d97d6f 34 * LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
emh203 0:3d9c67d97d6f 35 * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
emh203 0:3d9c67d97d6f 36 * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
emh203 0:3d9c67d97d6f 37 * ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
emh203 0:3d9c67d97d6f 38 * POSSIBILITY OF SUCH DAMAGE.
emh203 0:3d9c67d97d6f 39 * -------------------------------------------------------------------- */
emh203 0:3d9c67d97d6f 40
emh203 0:3d9c67d97d6f 41 #include "arm_math.h"
emh203 0:3d9c67d97d6f 42
emh203 0:3d9c67d97d6f 43 /**
emh203 0:3d9c67d97d6f 44 * @ingroup groupMatrix
emh203 0:3d9c67d97d6f 45 */
emh203 0:3d9c67d97d6f 46
emh203 0:3d9c67d97d6f 47 /**
emh203 0:3d9c67d97d6f 48 * @addtogroup MatrixMult
emh203 0:3d9c67d97d6f 49 * @{
emh203 0:3d9c67d97d6f 50 */
emh203 0:3d9c67d97d6f 51
emh203 0:3d9c67d97d6f 52
emh203 0:3d9c67d97d6f 53 /**
emh203 0:3d9c67d97d6f 54 * @brief Q15 matrix multiplication (fast variant) for Cortex-M3 and Cortex-M4
emh203 0:3d9c67d97d6f 55 * @param[in] *pSrcA points to the first input matrix structure
emh203 0:3d9c67d97d6f 56 * @param[in] *pSrcB points to the second input matrix structure
emh203 0:3d9c67d97d6f 57 * @param[out] *pDst points to output matrix structure
emh203 0:3d9c67d97d6f 58 * @param[in] *pState points to the array for storing intermediate results
emh203 0:3d9c67d97d6f 59 * @return The function returns either
emh203 0:3d9c67d97d6f 60 * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
emh203 0:3d9c67d97d6f 61 *
emh203 0:3d9c67d97d6f 62 * @details
emh203 0:3d9c67d97d6f 63 * <b>Scaling and Overflow Behavior:</b>
emh203 0:3d9c67d97d6f 64 *
emh203 0:3d9c67d97d6f 65 * \par
emh203 0:3d9c67d97d6f 66 * The difference between the function arm_mat_mult_q15() and this fast variant is that
emh203 0:3d9c67d97d6f 67 * the fast variant use a 32-bit rather than a 64-bit accumulator.
emh203 0:3d9c67d97d6f 68 * The result of each 1.15 x 1.15 multiplication is truncated to
emh203 0:3d9c67d97d6f 69 * 2.30 format. These intermediate results are accumulated in a 32-bit register in 2.30
emh203 0:3d9c67d97d6f 70 * format. Finally, the accumulator is saturated and converted to a 1.15 result.
emh203 0:3d9c67d97d6f 71 *
emh203 0:3d9c67d97d6f 72 * \par
emh203 0:3d9c67d97d6f 73 * The fast version has the same overflow behavior as the standard version but provides
emh203 0:3d9c67d97d6f 74 * less precision since it discards the low 16 bits of each multiplication result.
emh203 0:3d9c67d97d6f 75 * In order to avoid overflows completely the input signals must be scaled down.
emh203 0:3d9c67d97d6f 76 * Scale down one of the input matrices by log2(numColsA) bits to
emh203 0:3d9c67d97d6f 77 * avoid overflows, as a total of numColsA additions are computed internally for each
emh203 0:3d9c67d97d6f 78 * output element.
emh203 0:3d9c67d97d6f 79 *
emh203 0:3d9c67d97d6f 80 * \par
emh203 0:3d9c67d97d6f 81 * See <code>arm_mat_mult_q15()</code> for a slower implementation of this function
emh203 0:3d9c67d97d6f 82 * which uses 64-bit accumulation to provide higher precision.
emh203 0:3d9c67d97d6f 83 */
emh203 0:3d9c67d97d6f 84
emh203 0:3d9c67d97d6f 85 arm_status arm_mat_mult_fast_q15(
emh203 0:3d9c67d97d6f 86 const arm_matrix_instance_q15 * pSrcA,
emh203 0:3d9c67d97d6f 87 const arm_matrix_instance_q15 * pSrcB,
emh203 0:3d9c67d97d6f 88 arm_matrix_instance_q15 * pDst,
emh203 0:3d9c67d97d6f 89 q15_t * pState)
emh203 0:3d9c67d97d6f 90 {
emh203 0:3d9c67d97d6f 91 q31_t sum; /* accumulator */
emh203 0:3d9c67d97d6f 92 q15_t *pSrcBT = pState; /* input data matrix pointer for transpose */
emh203 0:3d9c67d97d6f 93 q15_t *pInA = pSrcA->pData; /* input data matrix pointer A of Q15 type */
emh203 0:3d9c67d97d6f 94 q15_t *pInB = pSrcB->pData; /* input data matrix pointer B of Q15 type */
emh203 0:3d9c67d97d6f 95 q15_t *px; /* Temporary output data matrix pointer */
emh203 0:3d9c67d97d6f 96 uint16_t numRowsA = pSrcA->numRows; /* number of rows of input matrix A */
emh203 0:3d9c67d97d6f 97 uint16_t numColsB = pSrcB->numCols; /* number of columns of input matrix B */
emh203 0:3d9c67d97d6f 98 uint16_t numColsA = pSrcA->numCols; /* number of columns of input matrix A */
emh203 0:3d9c67d97d6f 99 uint16_t numRowsB = pSrcB->numRows; /* number of rows of input matrix A */
emh203 0:3d9c67d97d6f 100 uint16_t col, i = 0u, row = numRowsB, colCnt; /* loop counters */
emh203 0:3d9c67d97d6f 101 arm_status status; /* status of matrix multiplication */
emh203 0:3d9c67d97d6f 102
emh203 0:3d9c67d97d6f 103 #ifndef UNALIGNED_SUPPORT_DISABLE
emh203 0:3d9c67d97d6f 104
emh203 0:3d9c67d97d6f 105 q31_t in; /* Temporary variable to hold the input value */
emh203 0:3d9c67d97d6f 106 q31_t inA1, inA2, inB1, inB2;
emh203 0:3d9c67d97d6f 107
emh203 0:3d9c67d97d6f 108 #else
emh203 0:3d9c67d97d6f 109
emh203 0:3d9c67d97d6f 110 q15_t in; /* Temporary variable to hold the input value */
emh203 0:3d9c67d97d6f 111 q15_t inA1, inA2, inB1, inB2;
emh203 0:3d9c67d97d6f 112
emh203 0:3d9c67d97d6f 113 #endif /* #ifndef UNALIGNED_SUPPORT_DISABLE */
emh203 0:3d9c67d97d6f 114
emh203 0:3d9c67d97d6f 115 #ifdef ARM_MATH_MATRIX_CHECK
emh203 0:3d9c67d97d6f 116 /* Check for matrix mismatch condition */
emh203 0:3d9c67d97d6f 117 if((pSrcA->numCols != pSrcB->numRows) ||
emh203 0:3d9c67d97d6f 118 (pSrcA->numRows != pDst->numRows) || (pSrcB->numCols != pDst->numCols))
emh203 0:3d9c67d97d6f 119 {
emh203 0:3d9c67d97d6f 120 /* Set status as ARM_MATH_SIZE_MISMATCH */
emh203 0:3d9c67d97d6f 121 status = ARM_MATH_SIZE_MISMATCH;
emh203 0:3d9c67d97d6f 122 }
emh203 0:3d9c67d97d6f 123 else
emh203 0:3d9c67d97d6f 124 #endif
emh203 0:3d9c67d97d6f 125 {
emh203 0:3d9c67d97d6f 126 /* Matrix transpose */
emh203 0:3d9c67d97d6f 127 do
emh203 0:3d9c67d97d6f 128 {
emh203 0:3d9c67d97d6f 129 /* Apply loop unrolling and exchange the columns with row elements */
emh203 0:3d9c67d97d6f 130 col = numColsB >> 2;
emh203 0:3d9c67d97d6f 131
emh203 0:3d9c67d97d6f 132 /* The pointer px is set to starting address of the column being processed */
emh203 0:3d9c67d97d6f 133 px = pSrcBT + i;
emh203 0:3d9c67d97d6f 134
emh203 0:3d9c67d97d6f 135 /* First part of the processing with loop unrolling. Compute 4 outputs at a time.
emh203 0:3d9c67d97d6f 136 ** a second loop below computes the remaining 1 to 3 samples. */
emh203 0:3d9c67d97d6f 137 while(col > 0u)
emh203 0:3d9c67d97d6f 138 {
emh203 0:3d9c67d97d6f 139 #ifndef UNALIGNED_SUPPORT_DISABLE
emh203 0:3d9c67d97d6f 140 /* Read two elements from the row */
emh203 0:3d9c67d97d6f 141 in = *__SIMD32(pInB)++;
emh203 0:3d9c67d97d6f 142
emh203 0:3d9c67d97d6f 143 /* Unpack and store one element in the destination */
emh203 0:3d9c67d97d6f 144 #ifndef ARM_MATH_BIG_ENDIAN
emh203 0:3d9c67d97d6f 145
emh203 0:3d9c67d97d6f 146 *px = (q15_t) in;
emh203 0:3d9c67d97d6f 147
emh203 0:3d9c67d97d6f 148 #else
emh203 0:3d9c67d97d6f 149
emh203 0:3d9c67d97d6f 150 *px = (q15_t) ((in & (q31_t) 0xffff0000) >> 16);
emh203 0:3d9c67d97d6f 151
emh203 0:3d9c67d97d6f 152 #endif /* #ifndef ARM_MATH_BIG_ENDIAN */
emh203 0:3d9c67d97d6f 153
emh203 0:3d9c67d97d6f 154 /* Update the pointer px to point to the next row of the transposed matrix */
emh203 0:3d9c67d97d6f 155 px += numRowsB;
emh203 0:3d9c67d97d6f 156
emh203 0:3d9c67d97d6f 157 /* Unpack and store the second element in the destination */
emh203 0:3d9c67d97d6f 158 #ifndef ARM_MATH_BIG_ENDIAN
emh203 0:3d9c67d97d6f 159
emh203 0:3d9c67d97d6f 160 *px = (q15_t) ((in & (q31_t) 0xffff0000) >> 16);
emh203 0:3d9c67d97d6f 161
emh203 0:3d9c67d97d6f 162 #else
emh203 0:3d9c67d97d6f 163
emh203 0:3d9c67d97d6f 164 *px = (q15_t) in;
emh203 0:3d9c67d97d6f 165
emh203 0:3d9c67d97d6f 166 #endif /* #ifndef ARM_MATH_BIG_ENDIAN */
emh203 0:3d9c67d97d6f 167
emh203 0:3d9c67d97d6f 168 /* Update the pointer px to point to the next row of the transposed matrix */
emh203 0:3d9c67d97d6f 169 px += numRowsB;
emh203 0:3d9c67d97d6f 170
emh203 0:3d9c67d97d6f 171 /* Read two elements from the row */
emh203 0:3d9c67d97d6f 172 in = *__SIMD32(pInB)++;
emh203 0:3d9c67d97d6f 173
emh203 0:3d9c67d97d6f 174 /* Unpack and store one element in the destination */
emh203 0:3d9c67d97d6f 175 #ifndef ARM_MATH_BIG_ENDIAN
emh203 0:3d9c67d97d6f 176
emh203 0:3d9c67d97d6f 177 *px = (q15_t) in;
emh203 0:3d9c67d97d6f 178
emh203 0:3d9c67d97d6f 179 #else
emh203 0:3d9c67d97d6f 180
emh203 0:3d9c67d97d6f 181 *px = (q15_t) ((in & (q31_t) 0xffff0000) >> 16);
emh203 0:3d9c67d97d6f 182
emh203 0:3d9c67d97d6f 183 #endif /* #ifndef ARM_MATH_BIG_ENDIAN */
emh203 0:3d9c67d97d6f 184
emh203 0:3d9c67d97d6f 185 /* Update the pointer px to point to the next row of the transposed matrix */
emh203 0:3d9c67d97d6f 186 px += numRowsB;
emh203 0:3d9c67d97d6f 187
emh203 0:3d9c67d97d6f 188 /* Unpack and store the second element in the destination */
emh203 0:3d9c67d97d6f 189
emh203 0:3d9c67d97d6f 190 #ifndef ARM_MATH_BIG_ENDIAN
emh203 0:3d9c67d97d6f 191
emh203 0:3d9c67d97d6f 192 *px = (q15_t) ((in & (q31_t) 0xffff0000) >> 16);
emh203 0:3d9c67d97d6f 193
emh203 0:3d9c67d97d6f 194 #else
emh203 0:3d9c67d97d6f 195
emh203 0:3d9c67d97d6f 196 *px = (q15_t) in;
emh203 0:3d9c67d97d6f 197
emh203 0:3d9c67d97d6f 198 #endif /* #ifndef ARM_MATH_BIG_ENDIAN */
emh203 0:3d9c67d97d6f 199
emh203 0:3d9c67d97d6f 200 #else
emh203 0:3d9c67d97d6f 201
emh203 0:3d9c67d97d6f 202 /* Read one element from the row */
emh203 0:3d9c67d97d6f 203 in = *pInB++;
emh203 0:3d9c67d97d6f 204
emh203 0:3d9c67d97d6f 205 /* Store one element in the destination */
emh203 0:3d9c67d97d6f 206 *px = in;
emh203 0:3d9c67d97d6f 207
emh203 0:3d9c67d97d6f 208 /* Update the pointer px to point to the next row of the transposed matrix */
emh203 0:3d9c67d97d6f 209 px += numRowsB;
emh203 0:3d9c67d97d6f 210
emh203 0:3d9c67d97d6f 211 /* Read one element from the row */
emh203 0:3d9c67d97d6f 212 in = *pInB++;
emh203 0:3d9c67d97d6f 213
emh203 0:3d9c67d97d6f 214 /* Store one element in the destination */
emh203 0:3d9c67d97d6f 215 *px = in;
emh203 0:3d9c67d97d6f 216
emh203 0:3d9c67d97d6f 217 /* Update the pointer px to point to the next row of the transposed matrix */
emh203 0:3d9c67d97d6f 218 px += numRowsB;
emh203 0:3d9c67d97d6f 219
emh203 0:3d9c67d97d6f 220 /* Read one element from the row */
emh203 0:3d9c67d97d6f 221 in = *pInB++;
emh203 0:3d9c67d97d6f 222
emh203 0:3d9c67d97d6f 223 /* Store one element in the destination */
emh203 0:3d9c67d97d6f 224 *px = in;
emh203 0:3d9c67d97d6f 225
emh203 0:3d9c67d97d6f 226 /* Update the pointer px to point to the next row of the transposed matrix */
emh203 0:3d9c67d97d6f 227 px += numRowsB;
emh203 0:3d9c67d97d6f 228
emh203 0:3d9c67d97d6f 229 /* Read one element from the row */
emh203 0:3d9c67d97d6f 230 in = *pInB++;
emh203 0:3d9c67d97d6f 231
emh203 0:3d9c67d97d6f 232 /* Store one element in the destination */
emh203 0:3d9c67d97d6f 233 *px = in;
emh203 0:3d9c67d97d6f 234
emh203 0:3d9c67d97d6f 235 #endif /* #ifndef UNALIGNED_SUPPORT_DISABLE */
emh203 0:3d9c67d97d6f 236
emh203 0:3d9c67d97d6f 237 /* Update the pointer px to point to the next row of the transposed matrix */
emh203 0:3d9c67d97d6f 238 px += numRowsB;
emh203 0:3d9c67d97d6f 239
emh203 0:3d9c67d97d6f 240 /* Decrement the column loop counter */
emh203 0:3d9c67d97d6f 241 col--;
emh203 0:3d9c67d97d6f 242 }
emh203 0:3d9c67d97d6f 243
emh203 0:3d9c67d97d6f 244 /* If the columns of pSrcB is not a multiple of 4, compute any remaining output samples here.
emh203 0:3d9c67d97d6f 245 ** No loop unrolling is used. */
emh203 0:3d9c67d97d6f 246 col = numColsB % 0x4u;
emh203 0:3d9c67d97d6f 247
emh203 0:3d9c67d97d6f 248 while(col > 0u)
emh203 0:3d9c67d97d6f 249 {
emh203 0:3d9c67d97d6f 250 /* Read and store the input element in the destination */
emh203 0:3d9c67d97d6f 251 *px = *pInB++;
emh203 0:3d9c67d97d6f 252
emh203 0:3d9c67d97d6f 253 /* Update the pointer px to point to the next row of the transposed matrix */
emh203 0:3d9c67d97d6f 254 px += numRowsB;
emh203 0:3d9c67d97d6f 255
emh203 0:3d9c67d97d6f 256 /* Decrement the column loop counter */
emh203 0:3d9c67d97d6f 257 col--;
emh203 0:3d9c67d97d6f 258 }
emh203 0:3d9c67d97d6f 259
emh203 0:3d9c67d97d6f 260 i++;
emh203 0:3d9c67d97d6f 261
emh203 0:3d9c67d97d6f 262 /* Decrement the row loop counter */
emh203 0:3d9c67d97d6f 263 row--;
emh203 0:3d9c67d97d6f 264
emh203 0:3d9c67d97d6f 265 } while(row > 0u);
emh203 0:3d9c67d97d6f 266
emh203 0:3d9c67d97d6f 267 /* Reset the variables for the usage in the following multiplication process */
emh203 0:3d9c67d97d6f 268 row = numRowsA;
emh203 0:3d9c67d97d6f 269 i = 0u;
emh203 0:3d9c67d97d6f 270 px = pDst->pData;
emh203 0:3d9c67d97d6f 271
emh203 0:3d9c67d97d6f 272 /* The following loop performs the dot-product of each row in pSrcA with each column in pSrcB */
emh203 0:3d9c67d97d6f 273 /* row loop */
emh203 0:3d9c67d97d6f 274 do
emh203 0:3d9c67d97d6f 275 {
emh203 0:3d9c67d97d6f 276 /* For every row wise process, the column loop counter is to be initiated */
emh203 0:3d9c67d97d6f 277 col = numColsB;
emh203 0:3d9c67d97d6f 278
emh203 0:3d9c67d97d6f 279 /* For every row wise process, the pIn2 pointer is set
emh203 0:3d9c67d97d6f 280 ** to the starting address of the transposed pSrcB data */
emh203 0:3d9c67d97d6f 281 pInB = pSrcBT;
emh203 0:3d9c67d97d6f 282
emh203 0:3d9c67d97d6f 283 /* column loop */
emh203 0:3d9c67d97d6f 284 do
emh203 0:3d9c67d97d6f 285 {
emh203 0:3d9c67d97d6f 286 /* Set the variable sum, that acts as accumulator, to zero */
emh203 0:3d9c67d97d6f 287 sum = 0;
emh203 0:3d9c67d97d6f 288
emh203 0:3d9c67d97d6f 289 /* Apply loop unrolling and compute 2 MACs simultaneously. */
emh203 0:3d9c67d97d6f 290 colCnt = numColsA >> 2;
emh203 0:3d9c67d97d6f 291
emh203 0:3d9c67d97d6f 292 /* Initiate the pointer pIn1 to point to the starting address of the column being processed */
emh203 0:3d9c67d97d6f 293 pInA = pSrcA->pData + i;
emh203 0:3d9c67d97d6f 294
emh203 0:3d9c67d97d6f 295 /* matrix multiplication */
emh203 0:3d9c67d97d6f 296 while(colCnt > 0u)
emh203 0:3d9c67d97d6f 297 {
emh203 0:3d9c67d97d6f 298 /* c(m,n) = a(1,1)*b(1,1) + a(1,2) * b(2,1) + .... + a(m,p)*b(p,n) */
emh203 0:3d9c67d97d6f 299 #ifndef UNALIGNED_SUPPORT_DISABLE
emh203 0:3d9c67d97d6f 300
emh203 0:3d9c67d97d6f 301 inA1 = *__SIMD32(pInA)++;
emh203 0:3d9c67d97d6f 302 inB1 = *__SIMD32(pInB)++;
emh203 0:3d9c67d97d6f 303 inA2 = *__SIMD32(pInA)++;
emh203 0:3d9c67d97d6f 304 inB2 = *__SIMD32(pInB)++;
emh203 0:3d9c67d97d6f 305
emh203 0:3d9c67d97d6f 306 sum = __SMLAD(inA1, inB1, sum);
emh203 0:3d9c67d97d6f 307 sum = __SMLAD(inA2, inB2, sum);
emh203 0:3d9c67d97d6f 308
emh203 0:3d9c67d97d6f 309 #else
emh203 0:3d9c67d97d6f 310
emh203 0:3d9c67d97d6f 311 inA1 = *pInA++;
emh203 0:3d9c67d97d6f 312 inB1 = *pInB++;
emh203 0:3d9c67d97d6f 313 inA2 = *pInA++;
emh203 0:3d9c67d97d6f 314 sum += inA1 * inB1;
emh203 0:3d9c67d97d6f 315 inB2 = *pInB++;
emh203 0:3d9c67d97d6f 316
emh203 0:3d9c67d97d6f 317 inA1 = *pInA++;
emh203 0:3d9c67d97d6f 318 inB1 = *pInB++;
emh203 0:3d9c67d97d6f 319 sum += inA2 * inB2;
emh203 0:3d9c67d97d6f 320 inA2 = *pInA++;
emh203 0:3d9c67d97d6f 321 inB2 = *pInB++;
emh203 0:3d9c67d97d6f 322
emh203 0:3d9c67d97d6f 323 sum += inA1 * inB1;
emh203 0:3d9c67d97d6f 324 sum += inA2 * inB2;
emh203 0:3d9c67d97d6f 325
emh203 0:3d9c67d97d6f 326 #endif /* #ifndef UNALIGNED_SUPPORT_DISABLE */
emh203 0:3d9c67d97d6f 327
emh203 0:3d9c67d97d6f 328 /* Decrement the loop counter */
emh203 0:3d9c67d97d6f 329 colCnt--;
emh203 0:3d9c67d97d6f 330 }
emh203 0:3d9c67d97d6f 331
emh203 0:3d9c67d97d6f 332 /* process odd column samples */
emh203 0:3d9c67d97d6f 333 colCnt = numColsA % 0x4u;
emh203 0:3d9c67d97d6f 334
emh203 0:3d9c67d97d6f 335 while(colCnt > 0u)
emh203 0:3d9c67d97d6f 336 {
emh203 0:3d9c67d97d6f 337 /* c(m,n) = a(1,1)*b(1,1) + a(1,2) * b(2,1) + .... + a(m,p)*b(p,n) */
emh203 0:3d9c67d97d6f 338 sum += (q31_t) (*pInA++) * (*pInB++);
emh203 0:3d9c67d97d6f 339
emh203 0:3d9c67d97d6f 340 colCnt--;
emh203 0:3d9c67d97d6f 341 }
emh203 0:3d9c67d97d6f 342
emh203 0:3d9c67d97d6f 343 /* Saturate and store the result in the destination buffer */
emh203 0:3d9c67d97d6f 344 *px = (q15_t) (sum >> 15);
emh203 0:3d9c67d97d6f 345 px++;
emh203 0:3d9c67d97d6f 346
emh203 0:3d9c67d97d6f 347 /* Decrement the column loop counter */
emh203 0:3d9c67d97d6f 348 col--;
emh203 0:3d9c67d97d6f 349
emh203 0:3d9c67d97d6f 350 } while(col > 0u);
emh203 0:3d9c67d97d6f 351
emh203 0:3d9c67d97d6f 352 i = i + numColsA;
emh203 0:3d9c67d97d6f 353
emh203 0:3d9c67d97d6f 354 /* Decrement the row loop counter */
emh203 0:3d9c67d97d6f 355 row--;
emh203 0:3d9c67d97d6f 356
emh203 0:3d9c67d97d6f 357 } while(row > 0u);
emh203 0:3d9c67d97d6f 358
emh203 0:3d9c67d97d6f 359 /* set status as ARM_MATH_SUCCESS */
emh203 0:3d9c67d97d6f 360 status = ARM_MATH_SUCCESS;
emh203 0:3d9c67d97d6f 361 }
emh203 0:3d9c67d97d6f 362
emh203 0:3d9c67d97d6f 363 /* Return to application */
emh203 0:3d9c67d97d6f 364 return (status);
emh203 0:3d9c67d97d6f 365 }
emh203 0:3d9c67d97d6f 366
emh203 0:3d9c67d97d6f 367 /**
emh203 0:3d9c67d97d6f 368 * @} end of MatrixMult group
emh203 0:3d9c67d97d6f 369 */