Real Time FIR Filter - Distinctive Excellence award winner :)

Dependencies:   mbed

Committer:
Gonzakpo
Date:
Sat Aug 13 17:35:52 2011 +0000
Revision:
0:b3e50e98acac

        

Who changed what in which revision?

UserRevisionLine numberNew contents of line
Gonzakpo 0:b3e50e98acac 1 /* Rutina util para debuggear. Solo sirve para la placa MBED */
Gonzakpo 0:b3e50e98acac 2
Gonzakpo 0:b3e50e98acac 3 #include "leds_mbed.h"
Gonzakpo 0:b3e50e98acac 4
Gonzakpo 0:b3e50e98acac 5 void show_number_on_leds(uint32_t number)
Gonzakpo 0:b3e50e98acac 6 {
Gonzakpo 0:b3e50e98acac 7 DigitalOut led_1(LED1);
Gonzakpo 0:b3e50e98acac 8 DigitalOut led_2(LED2);
Gonzakpo 0:b3e50e98acac 9 DigitalOut led_3(LED3);
Gonzakpo 0:b3e50e98acac 10 DigitalOut led_4(LED4);
Gonzakpo 0:b3e50e98acac 11
Gonzakpo 0:b3e50e98acac 12 /* De led mas significativo a menos significativo se lee: LED4 LED3 LED2 LED1 */
Gonzakpo 0:b3e50e98acac 13
Gonzakpo 0:b3e50e98acac 14 switch(number)
Gonzakpo 0:b3e50e98acac 15 {
Gonzakpo 0:b3e50e98acac 16 case 0: led_4 = led_3 = led_2 = led_1 = 0;
Gonzakpo 0:b3e50e98acac 17 break;
Gonzakpo 0:b3e50e98acac 18 case 1: led_4 = led_3 = led_2 = 0;
Gonzakpo 0:b3e50e98acac 19 led_1 = 1;
Gonzakpo 0:b3e50e98acac 20 break;
Gonzakpo 0:b3e50e98acac 21 case 2: led_4 = led_3 = led_1 = 0;
Gonzakpo 0:b3e50e98acac 22 led_2 = 1;
Gonzakpo 0:b3e50e98acac 23 break;
Gonzakpo 0:b3e50e98acac 24 case 3: led_4 = led_3 = 0;
Gonzakpo 0:b3e50e98acac 25 led_2 = led_1 = 1;
Gonzakpo 0:b3e50e98acac 26 break;
Gonzakpo 0:b3e50e98acac 27 case 4: led_4 = led_2 = led_1 = 0;
Gonzakpo 0:b3e50e98acac 28 led_3 = 1;
Gonzakpo 0:b3e50e98acac 29 break;
Gonzakpo 0:b3e50e98acac 30 case 5: led_4 = led_2 = 0;
Gonzakpo 0:b3e50e98acac 31 led_3 = led_1 = 1;
Gonzakpo 0:b3e50e98acac 32 break;
Gonzakpo 0:b3e50e98acac 33 case 6: led_4 = led_1 = 0;
Gonzakpo 0:b3e50e98acac 34 led_3 = led_2 = 1;
Gonzakpo 0:b3e50e98acac 35 break;
Gonzakpo 0:b3e50e98acac 36 case 7: led_4 = 0;
Gonzakpo 0:b3e50e98acac 37 led_3 = led_2 = led_1 = 1;
Gonzakpo 0:b3e50e98acac 38 break;
Gonzakpo 0:b3e50e98acac 39 case 8: led_3 = led_2 = led_1 = 0;
Gonzakpo 0:b3e50e98acac 40 led_4 = 1;
Gonzakpo 0:b3e50e98acac 41 break;
Gonzakpo 0:b3e50e98acac 42 case 9: led_3 = led_2 = 0;
Gonzakpo 0:b3e50e98acac 43 led_4 = led_1 = 1;
Gonzakpo 0:b3e50e98acac 44 break;
Gonzakpo 0:b3e50e98acac 45 case 10: led_3 = led_1 = 0;
Gonzakpo 0:b3e50e98acac 46 led_4 = led_2 = 1;
Gonzakpo 0:b3e50e98acac 47 break;
Gonzakpo 0:b3e50e98acac 48 case 11: led_3 = 0;
Gonzakpo 0:b3e50e98acac 49 led_4 = led_2 = led_1 = 1;
Gonzakpo 0:b3e50e98acac 50 break;
Gonzakpo 0:b3e50e98acac 51 case 12: led_2 = led_1 = 0;
Gonzakpo 0:b3e50e98acac 52 led_4 = led_3 = 1;
Gonzakpo 0:b3e50e98acac 53 break;
Gonzakpo 0:b3e50e98acac 54 case 13: led_2 = 0;
Gonzakpo 0:b3e50e98acac 55 led_4 = led_3 = led_1 = 1;
Gonzakpo 0:b3e50e98acac 56 break;
Gonzakpo 0:b3e50e98acac 57 case 14: led_1 = 0;
Gonzakpo 0:b3e50e98acac 58 led_4 = led_3 = led_2 = 1;
Gonzakpo 0:b3e50e98acac 59 break;
Gonzakpo 0:b3e50e98acac 60 case 15: led_4 = led_3 = led_2 = led_1 = 1;
Gonzakpo 0:b3e50e98acac 61 break;
Gonzakpo 0:b3e50e98acac 62 default: led_4 = led_3 = led_2 = led_1 = 1;
Gonzakpo 0:b3e50e98acac 63 break;
Gonzakpo 0:b3e50e98acac 64 }
Gonzakpo 0:b3e50e98acac 65 }