VT52 is an enhancement of class Serial and offers some Methods for Printing in VT52 Terminal Style: clearScreen() - to clear the whole Terminal Screen clearLine() - to clear the current Line setCursor(lin, col) - to set cursor in column col of line lin

Dependencies:   mbed

Changes

RevisionDateWhoCommit message
0:6cb381075fe7 2011-01-23 Krugmann (none) default tip