export commit

Files at this revision

API Documentation at this revision

Comitter:
rcflyair
Date:
Tue Nov 27 15:54:07 2018 +0000
Parent:
12:3b049dde55d7
Commit message:
pinout change for stm32f303k8

Changed in this revision

parse_pins.cpp Show annotated file Show diff for this revision Revisions of this file
--- a/parse_pins.cpp	Tue Mar 08 09:15:16 2016 +0000
+++ b/parse_pins.cpp	Tue Nov 27 15:54:07 2018 +0000
@@ -59,7 +59,7 @@
         }
         return port_pin((PortName)port, pin);
 
-#elif defined(TARGET_NUCLEO_F072RB) || defined(TARGET_NUCLEO_F411RE)
+#elif defined(TARGET_NUCLEO_F072RB) || defined(TARGET_NUCLEO_F411RE) || defined(TARGET_NUCLEO_F303K8)
     if (str[0] == 'P') {   // PX_XX e.g.PA_2 PC_15
         uint32_t port = str[1] - 'A';
         uint32_t pin  = str[3] - '0';